VHDL语言的sll运算符怎么使用.求例程.

操作数 SLL N; N为移位的位数。设操作数A=“11010001”,则语句A SLL 1; 结果为“10100010”

文章标签:

本文链接:https://www.u1e.cn/baike/a/f9bd9766d923989f250d3270 [复制]

猜你喜欢

歇后语大全

还没有人回应过